Minggu, 12 November 2017

Rangkuman dari Buku Manajemen Proyek Konsep dan Implementasi

Bab 1
Konsep dan Pengertian

Manajemen proyek kini merupakan keharusan, bukan lagi sekedarpilihan. Ini berarti bahwa pekerjaan-pekerjaan tertentu akan lebih efisiendan efektif jika dikelola dalam kerangka proyek dan bukan diperlakukansebagai pekerjaan biasa. Dengan demikian diperlukan penerapan
manajemen proyek secara benar. Maka memahami manajemen proyek secara benar sangatlah penting dalam rangka bisa melaksanakannya.

Manajemen proyek adalah aplikasi pengetahuan (knowledges),Keterampilan (skills), alat (tools) dan teknik (techniques) dalam aktifitas aktifitas proyek untuk memenuhi kebutuhan-kebutuhan proyek (PMBOK, 2004). Manajemen proyek dilaksanakan melalui aplikasi dan integrasi tahapan proses manajeman proyek yaitu initiating, planning,executing, monitoring dan controlling serta akhirnya closing keseluruhanproses proyek tersebut. Dalam pelaksanaannya, setiap proyek selalu dibatasi oleh kendala - kendala yang sifatnya saling mempengaruhi dan biasa disebut sebagai segitiga project constraint yaitu lingkup pekerjaan(scope), waktu dan biaya. Dimana keseimbangan ketiga konstraintersebut akan menentukan kualitas suatu proyek. Perubahan salah satu atau lebih faktor tersebut akan mempengaruhi setidaknya satu factor lainnya. (PMBOK Guide, 2004)




                                                                         Bab 2
                                                              Siklus Hidup Proyek

Secara ringkas siklus hidup produk ini bisa diberikan sebagai berikut :
1. Riset dan pengembangan (R &D)
Tahap penelitian pasar akan produk yang diinginkan pasar,pembuatan model dan desain, pembuatan produk

2. Pengenalan ke Pasar
Mulai dilempar ke pasar, melihat bagaimana tanggapan pasarterhadap produk baru yang dimunculkan

3. Tumbuh
Tahap di mana produk mulai mendapatkan pembelian secarameningkat dari konsumen.

4. Matang
Tahap ini ditandai jumlah penjualan yang sudah mencapai maksimal dan sulit untuk dinaikkan lagi.Ini sebagai kelanjutan dari tahapan tumbuh sebelumnya. Perusahaan tinggal menjaga agar tahap ini bisa berlangsung lama karena penambahan volume penjumlahan tidak mungkin lagi dilakukan.

5. Penurunan
Setelah tahap matang berakhir, maka penjualan produk bisanya akan mengalami penurunan (deteriorasi).

6. Mati
Tahapan terakhir adalah ketika produk tidak lagi dibeli oleh konsumen. Siklus hidup produk akan berakhir, tidak diproduksi lagi. Sesudah itu akan dimulai lagi siklus hidup ini dengan kegiatan R &D. Tahap perencanaan dalam siklus hidup proyek akan meliputikegiatan: penyiapan rencana proyek secara detail dan penentuanspesifikasi proyek secara rinci. Isi rencana proyek biasanya terdiri dari:

-    Jadwal pekerjaan
-    Anggaran dan sistem pengendalian biaya
-    Work Breakdown Structure secara rinci
-    Bagian-bagian yang berisiko tinggi dan cukup sulit dan rencana
-    tentang pengatasan kemungkinan-kemungkinan yang akan muncul.
-    Rencana sumberdaya manusia dan pemakaian sumberdaya lain.
-    Rencana pengujian hasil proyek
-    Rencana dokumentasi
-    Rencana peninjauan pekerjaan
-    Rencana pelaksanaan hasil proyek



                                                                        Bab 5
                                                             Perancangan Proyek

Perencanaan merupakan hal penting dalam manajemen proyek dimana berfungsi untuk menghindari atau mengurangi ketidakpastian, untuk memperbaiki efisiensi operasi, untuk mendapatkan pemahaman yang lebih baik tetang tujuan proyek, dan untuk memberikan dasar bagi pekerja monitoring dan pengendali.

Alasan-alasan berikut mendasari perlunya perencanaan :
•    Untuk menghilangkan atau mengurangi ketidakpastian
•    Untuk memperbaiki efisiensi operasi
•    Untuk mendapatkan pemahaman yang lebih baik tentang tujuan proyek
•    Untuk memberikan dasar bagi pekerjaan monitoring dan pengendalian

Dalam perancangan proyek terdapat tahap tahapnya yaitu:
1.    Menentukan tujuan proyek dan kebutuhannya
2.    Pekerjaan apa saja yang diperlukan untuk macapai final dari proyek
3.    Menentukan depatemen apa saja yang ada. Yang nantinya bertanggung jawab terhadap aktivitas aktivitasnya.
4.    Jadwal untuk aktifitas pekerja
5.    Perencanaan anggaran dan sumber daya yang dibutuhkan
6.    Estimasi waktu, biaya dan performansi penyelesaian proyek

Alat-alat perencanaan

1.    Work breakdown structure (WBS)
WBS adalah kegiatan menguraikan pekerjaan proyek menjadi pekerjaan-pekerjaan kecil yang secara operasional mudah dilaksanakan serta mudah diestimasi biaya dan waktu pelaksanaannya.

2.     Matriks tanggung jawab
Matriks tanggung jawab ini digunakan untuk menentukan organisasi proyek, orang-orang kunci dan tanggung jawabnya. Matriks tanggung jawab memperlihatkan hubungan antara kegiatan/ aktifitas dengan siapa yang bertanggungjawab dan seberapa besar tanggung jawabnya.

3.    Gantt chart
Tool ini digunakan untuk menunjukkan jadwal induk proyek, dan jadwal pekerjaan secara detail.

4.     Jaringan kerja (network)
Jaringan kerja digunakan untuk memperlihatkan urutan pekerjaan, kapan dimulai, kapan selesai, kapan proyek secara keseluruhan selesai.


                                                                       Bab 6
                                                             Penjadwalan Proyek

Setelah pekerjaan proyek dipecah-pecah menjadi paket-paket pekerjaan, selanjutnya dapat dibuat penjadwalannya. Penjadwalan proyek adalah waktu pengerjaan tiap paket pekerjaan dan kejadian apa yang dihasilkan dari serangkaian paket kerja tertentu. Yang perlu dijadwalkan adalah paket pekerjaan atau aktivitas.
Yang perlu dikembangkan dalam perencanaan dan penjadwalan adalah Gantt Chart. Gantt chart  adalah hubungan antar aktifitas dengan waktu pengerjaannya yang dibuat menyusul selesainya WBS. Gantt Chart tidak dapat secara eksplisit menunujkan keterkaitan antar aktifitas.
Dikembangkan teknik baru untuk mengatasi kekurangan pada Gantt Chart yaitu network atau dikenal sebagai jaringan kerja. Beberapa hal yang perlu diperhatikan dalam pembuatan jaringan kerja adalah :

1.    Macam-macam aktivitas yang ada
2.    Ketergantungan antar aktivitas, mana yang lebih dahulu diselesaikan mana yang menyusul
3.    Urutan logis dari masing-masing aktivitas
4.    Waktu penyelesaian tiap aktivitas

 Ada dua pendekatan dalam hal menggambarkan diagram jaringan. Pertama, kegiatan digambarkan dengan simpul, Activity On Mode, peristiwa atau event yang salang berhubungkan digambarkan menggunakan panah. Yang kedua aktivitas digambarkan dengan anak panah, Activity On Arch sedangkan kegiatan yang berhubungan diarahkan dengan simpul.

Project Evaluation and Review Technique (PERT)
PERT digunakan untuk proyek-proyek yang baru dilaksanakan untuk pertama kali, di mana estimasi waktu lebih ditekankan dari pada biayanya. Ciri utama PERT adalah adanya tiga perkiraan waktu: waktu pesimis (b), waktu paling mungkin (m), dan waktu optimis (a). Ketiga waktu perkiraan itu selanjutnya digunakan untuk menghitung waktu yang diharapkan (expected time) .


                                                                     Bab 9
                                                         Pengendalian Proyek

Tahap manajemen yang berikutnya setelah pelaksanaan proyek adalah pengendalian. Ini berarti di dalam pelaksanaan proyek, sebelum proyek selesai, sudah ada proses pengendalian. Jadi pengendalian dilakukan seiring pelaksanaan proyek. Pengendalian dilakukan agar proyek tetap berjalan dalam batas waktu, biaya dan performansi yang ditetapkan dalam rencana. Sehingga proses pengendalian proyek ini adalah hal yang sangat penting. Rencana yang bagus tanpa dibarengi dengan pengendalian yang baik sangat mungkin tidak akan menghasilkan output proyek yang bagus dalam hal jadwal, biaya dan performansi. Maka untuk melakukan pengendalian perlu adanya perencanaan. Ada beberapa perbedaan antara perencanaan dan
pengendalian:

Perencanaan berkonsentrasi pada:
•    Penetapan arah dan tujuan
•    Pengalokasian sumberdaya
•    Pengantisipasian masalah
•    Pemberian motivasi kepada para partisipan untuk mencapai tujuan
•    Sedangkan pengendalian berkonsentrasi pada:
•    Pengendalian pekerjaan ke arah tujuan
•    Penggunaan secara efektif sumberdaya yang ada
•    Perbaikan/koreksi masalah
•    Pemberian imbalan pencapaian tujuan

Ada bermacam-macam variabel yang bisa digunakan untuk mengevaluasi performansi proyek pada saat tertentu. Variabel-variabel itu adalah:

1.    BCWS, Budgeted Cost of Work Scheduled, yaitu variabel yang menyatakan besarnya biaya yang dianggarkan untuk pekerjaan yang dijadwalkan untuk suatu periode tertentu dan ditetapkan dalam anggaran.

2.    ACWP, Actual Cost of Work Performed, variabel yang menyatakan pengeluaran aktual dari. pekerjaan yang sudah dikerjakan sampai waktu tertentu.

3.    BCWP, Budgeted Cost of Work Performed, variabel yang menyatakan jumlah biaya yang dikeluarkan untuk pekerjaan yang sudah dikerjakan. Variabel ini juga disebut dengan earned value.


                                                                           Bab 11
                                                                   Pemilihan Proyek

Suatu perusahaan mungkin punya banyak pilihan proyek dalam waktu yang sama maka pilihlah dahulu proyek yang lebih menghasilkan keuntungan. Ada beberapa kriteria finansial yang digunakan dalam pemilihan proyek, yaitu :
1.    Payback Period (PP)
Adalah periode waktu di mana investasi yang dilakukan perusahaan sudah bisa pulih atau kembali melalui cash flow yang masuk ke perusahaan
    2. Return on Investment (ROI)
Adalah rata-rata profit tahunan dibandingkan dengan jumlah yang diinvestasikan
    3. Net present value (NPV)
Adalah nilai sekarang dari uang atau cash flow di masa mendatang dengan mempertimbangkan faktor bunga atau interest rate
   4. Internal rate of return (IRR)
IRR, dalam persen muncul ketika NPV = 0. Berlaku aturan, IRR yang dihasilkan suatu proyek harus lebih besar dari biaya dari sumberdaya.
   5. Break even analysis
Mempelajari hubungan antara Baiaya Tetap, Biaya Variabel, Keuntungan dan Volume aktivitas.



                                                                          Bab 12
                                                      Mengelola Konflik dalam Proyek
      
Di dalam organisasi sendiri sangat besar peluang untuk terjadinya  konflik. Peluang ini akan besar bila kelompok-kelompok yang bekerja dalam proyek mempunyai perbedaan dalam hal tujuan dan harapan, beberapa hal tidak jelas siapa yang harus membuat atau berwenang untuk membuat keputusan dan memang ada konflik antar individu dalam proyek. Prioritas pekerjaan, jadwal dan alokasi sumberdaya adalah sumber-sumber potensial terjadinya konflik dalam organisasi proyek.
Namun suatu konflik bukan hanya mendatangkan hal negatif, berikut manfaat konflik :

1.    Menghasilkan ide baru yang baik
2.    Mamacu orang agar menemukan pendekatan dalam menyelesaikan masalah
3.    Menemukan kesepakatan
4.    Memacu orang menjelaskan pandangannya
5.    Memacu orang mengeluarkan kreativitasnya
6.    Memberikan kesempatan seseorang menguji kemampuannya

Sumber-sumber penyebab konflik yang sering muncul dalm pelaksanaan proyek antara lain:
1.    Penjadwalan proyek
2.    Prioritas proyek
3.    Alokasi tenaga kerja
4.    Masalah teknis dan trade off hasil fisik
5.    Prosedur administrasi
6.    Perbedaan inter personal
7.    Biaya
8.    Peralatan dan fasilitas

Ada beberapa metode untuk mengurangi atau memecahkan konflik, yaitu :

1.    Konfontrasi
Yakni menghadapi masalah konflik secara langsung. Ini dilakukan dengan mengenali masalah dan potensi masalah untuk kemudian dihadapi secara langsung.

2.    Kompromi
Dengan kompromi diharapkan semua pihak akan mendapat tingkat kepuasan tertentu. Kompromi biasanya adalah hasil dari konfrontasi. Dalam hal ini diperlukan kerelaan semua pihak untuk menerima pendapat pihak lain.

3.    Mengurangi tingkat kepentingan ketidaksepakatan (menganggap tidak ada konflik)
Cara ini dilakukan dengan menganggap ketidaksepakatan yang   terjadi tidak pernah ada, berusaha untuk mengecilkan perbedaan yang ada dan menekankan kepentingan yang sama, sebelum ketidaksepakatan ini keluar dari proporsi yang seharusnya. Cara ini tidak harus menyelesaikan konflik tetapi tetap berusaha meyakinkan dua pihak yang berkonflik untuk tetap berunding karena mungkin akan ada solusi.

4.    Menggunakan kekuasaan (Forcing)
Cara pengatasan konflik dengan menggunakan kekuasaan sehingga terjadi kondisi menang-kalah. Cara ini ditempuh jika suatu pihak ingin memaksakan solusi kepada pihak lain.

5.    Menghindar (With drawing)
Cara ini sering dianggap sebagai solusi sementara untuk sebuah persoalan konflik. Masalah yang ada bisa datang lagi dan konflik bisa muncul lagi. Ada yang beranggapan ini sebagai cara yang kurang jantan dan ketidakmauan menghadapi situasi.

                                                                   
                                                                              Bab 13
                                                               Manajemen Resiko Proyek

Biasanya manajemen proyek berkonsentrasi pada masalah jadwal dan biaya. Bagaimana melaksanakan proyek sesuai jadwal dan biaya yang direncanakan adalah fokus dari manajemen proyek. Menajemen resiko proyek meliputi langkah memahami dan mengidentifikasi masalah yang berpotensi terjadi.

1.    Risiko
Bahaya, akibat atau konsekuensi yang dapat terjadi akibat sebuah proses yang sedang berlangsung atau kejadian yang akan datang. Peristiwa tidak pasti yang bila terjadi memiliki pengaruh positif atau negatif terhadap minimal satu tujuan proyek (waktu, biaya, ruang lingkup, mutu). Risiko mungkin memiliki satu atau lebih penyebab, yang bila terjadi memiliki satu atau lebih dampak.

2. Manajemen Risiko
Proses sistematis untuk merencanakan, mengidentifikasi, menganalisis, dan merespon risiko proyek. Tujuannya untuk meningkatkan peluang dan dampak peristiwa positif, dan mengurangi peluang dan dampak peristiwa yang merugikan proyek.

3. Toleransi terhadap risiko

Ada 3 tipe bagaimana individu atau kelompok dapat menghadapi risiko :
•    Menghindari risiko ( resk avoider)
•    Netral
•    Pencari risiko (risk seeker).

Selasa, 31 Oktober 2017

Parallel CRC

//-----------------------------------------------------
// Design Name : parallel_crc_ccitt
// File Name   : parallel_crc.v
// Function    : CCITT Parallel CRC
// Coder       : Deepak Kumar Tala
//-----------------------------------------------------
module parallel_crc_ccitt (
clk     ,
reset   ,
enable  ,
init    ,
data_in ,
crc_out
);
//-----------Input Ports---------------
input clk     ;
input reset   ;
input enable  ;
input init    ;
input [7:0] data_in ;
//-----------Output Ports---------------
output [15:0] crc_out;
//------------Internal Variables--------
reg [15:0]   crc_reg;
wire [15:0]  next_crc;
//-------------Code Start-----------------
assign crc_out = crc_reg;
// CRC Control logic
always @ (posedge clk)
if (reset) begin
  crc_reg <= 16'hFFFF;
end else if (enable) begin
  if (init) begin
     crc_reg <= 16'hFFFF;
  end else begin
     crc_reg <= next_crc;
  end
end
// Parallel CRC calculation
assign next_crc[0] = data_in[7] ^ data_in[0] ^ crc_reg[4] ^ crc_reg[11];
assign next_crc[1] = data_in[1] ^ crc_reg[5];
assign next_crc[2] = data_in[2] ^ crc_reg[6];
assign next_crc[3] = data_in[3] ^ crc_reg[7];
assign next_crc[4] = data_in[4] ^ crc_reg[8];
assign next_crc[5] = data_in[7] ^ data_in[5] ^ data_in[0] ^ crc_reg[4] ^ crc_reg[9] ^ crc_reg[11];
assign next_crc[6] = data_in[6] ^ data_in[1] ^ crc_reg[5] ^ crc_reg[10];
assign next_crc[7] = data_in[7] ^ data_in[2] ^ crc_reg[6] ^ crc_reg[11];
assign next_crc[8] = data_in[3] ^ crc_reg[0] ^ crc_reg[7];
assign next_crc[9] = data_in[4] ^ crc_reg[1] ^ crc_reg[8];
assign next_crc[10] = data_in[5] ^ crc_reg[2] ^ crc_reg[9];
assign next_crc[11] = data_in[6] ^ crc_reg[3] ^ crc_reg[10];

endmodule



-----Penjelasan-----

Seperti  biasa pada blok pertama berisi tentang module file nama dll, setelah itu pada blok selanjutnya mulai memasukan perintah seperti clk, reset, enable, init, dll.
masuk ke blok input dimana disertakan juga masukan untuk clk,reset, enable dst dari 0-7.
pada dasarnya nantinya di berikan perintah untuk masukan secara perhitungan paralel sebanyak 15, jadi output yang dihasilkan [15:0]
dan di akhiri dengan end sebagai penutup.
Source : http://www.asic-world.com/examples/verilog/parallel_crc.html#Parallel_CRC 

Senin, 30 Oktober 2017

Encoder - Using if-else Statement

//-----------------------------------------------------

// Design Name : encoder_using_if

// File Name   : encoder_using_if.v

// Function    : Encoder using If

// Coder       : Deepak Kumar Tala

//-----------------------------------------------------

module encoder_using_if(

binary_out , //  4 bit binary output

encoder_in , //  16-bit input

enable       //  Enable for the encoder

);

//-----------Output Ports---------------

output [3:0] binary_out  ;

//-----------Input Ports---------------

input  enable ;

input [15:0] encoder_in ;

//------------Internal Variables--------

reg [3:0] binary_out ;

//-------------Code Start-----------------

always @ (enable or encoder_in)

 begin

   binary_out = 0;

   if (enable) begin

     if (encoder_in == 16'h0002) begin

      binary_out = 1;

     end  if (encoder_in == 16'h0004) begin

      binary_out = 2;

     end  if (encoder_in == 16'h0008) begin

      binary_out = 3;

     end  if (encoder_in == 16'h0010) begin

      binary_out = 4;

     end  if (encoder_in == 16'h0020) begin

      binary_out = 5;

     end  if (encoder_in == 16'h0040) begin

      binary_out = 6;

     end  if (encoder_in == 16'h0080) begin

      binary_out = 7;

     end  if (encoder_in == 16'h0100) begin

      binary_out = 8;

     end  if (encoder_in == 16'h0200) begin

      binary_out = 9;

     end if (encoder_in == 16'h0400) begin

      binary_out = 10;

     end  if (encoder_in == 16'h0800) begin

      binary_out = 11;

     end  if (encoder_in == 16'h1000) begin

      binary_out = 12;

     end  if (encoder_in == 16'h2000) begin

      binary_out = 13;

     end  if (encoder_in == 16'h4000) begin

      binary_out = 14;

     end if (encoder_in == 16'h8000) begin

      binary_out = 15;

     end

  end

end

   

endmodule



----Penjelasan----

Seperti biasa blok pertama berisi keterangan nama file dll, selanjutnya kita menyertakan module lalu nama file nya, selanjutnya menentukan untuk biner out diberi 4 bit dan in 16 bit lalu ada enable yang artinya aktif untuk perhitungan encoder (desimal to biner). Masuk ke blok output disitu [3:0] untuk keluaran nya. dan menentukan terus sampai blok internal variabel setelah itu masuk ke pendeklarasian code nya. disitu, jika enable atau aktif dia sudah ditentukan input nya berapa dan hasil yang dikeluarkan berapa sampai terus ke logika akhir dan di tutup dengan perintah end serta end module.


Source : http://www.asic-world.com/examples/verilog/encoder.html#Encoder_-_Using_if-else_Statement

Regular D Latch

//-----------------------------------------------------
// Design Name : dlatch_reset
// File Name   : dlatch_reset.v
// Function    : DLATCH async reset
// Coder       : Deepak Kumar Tala
//-----------------------------------------------------
module dlatch_reset (
data   , // Data Input
en     , // LatchInput
reset  , // Reset input
q        // Q output
);
//-----------Input Ports---------------
input data, en, reset ;

//-----------Output Ports---------------
output q;

//------------Internal Variables--------
reg q;

//-------------Code Starts Here---------
always @ ( en or reset or data)
if (~reset) begin
  q <= 1'b0;
end else if (en) begin
  q <= data;
end

endmodule //End Of Module dlatch_reset


----Penjelasan----

blok pertama berisi tentang keterangan file yang sedang dimuat, lalu masuk pada blok selanjutnya yaitu kita masukan module dlatch_reset
setelah itu ada data yang nantinya untuk input data, lalu en sebagai masukan unutk latch, reset untuk mereset masukan lalukan Q untuk keluaran (output)
selanjutnya pendeklarasian inputnya yang isinya input data, en, reset.
lalu keluaran nya yaitu Q
setelah itu variabel masukan nya yaitu Q
masuk pada jalan nya program di mana jika (~reset) variabel q kurang dari samadengan 1'b 0
dan jika en nilai Q kurang dari samadengan data itu sendiri, setelah itu perintah end untuk mengakhiri.

Source : http://www.asic-world.com/examples/verilog/d_latch.html#Regular_D_Latch
 
 
 
 

Asynchronous FIFO ( Gray Counter )

//==========================================
// Function : Code Gray counter.
// Coder    : Alex Claros F.
// Date     : 15/May/2005.
//=======================================

`timescale 1ns/1ps

module GrayCounter
   #(parameter   COUNTER_WIDTH = 4)
  
    (output reg  [COUNTER_WIDTH-1:0]    GrayCount_out,  //'Gray' code count output.
   
     input wire                         Enable_in,  //Count enable.
     input wire                         Clear_in,   //Count reset.
   
     input wire                         Clk);

    /////////Internal connections & variables///////
    reg    [COUNTER_WIDTH-1:0]         BinaryCount;

    /////////Code///////////////////////
   
    always @ (posedge Clk)
        if (Clear_in) begin
            BinaryCount   <= {COUNTER_WIDTH{1'b 0}} + 1;  //Gray count begins @ '1' with
            GrayCount_out <= {COUNTER_WIDTH{1'b 0}};      // first 'Enable_in'.
        end
        else if (Enable_in) begin
            BinaryCount   <= BinaryCount + 1;
            GrayCount_out <= {BinaryCount[COUNTER_WIDTH-1],
                              BinaryCount[COUNTER_WIDTH-2:0] ^ BinaryCount[COUNTER_WIDTH-1:1]};
        end
   
endmodule


----Penjelasan----

Masuk pada perintah pertama penyertaan skala waktu yang di tentukan yaitu 1ns/1ps
lalu masukan module file nya yaitu GrayCounter
masuk pada deklarasi inputan nya, diberikan akses untuk aktif, saat aktif count(perhitungan) juga aktif, begitu pun pada clear nantinya reset pun aktif
masukan nya ialah nilai clock itu sendiri.

Selanjutnya masuk pada blok code dimana, jika clear_in / reset perhitungan untuk binary nya dari posisi  0 akan bertambah 1 yang akan aktif. Jadi pada intinya saat aktif sistem angka biner disini memiliki dua nilai berturut-turut berbeda hanya dalam satu bit (digit biner). Kode biner yang dipantulkan pada awalnya dirancang untuk mencegah keluaran palsu dari switch elektromekanik.
perintah akan terus berlangsung sampai di akhiri dengan perintah end.

source : http://www.asic-world.com/examples/verilog/asyn_fifo.html#Asynchronous_FIFO

8-Bit Simple Up Counter

module up_counter    (
out     ,  // Output of the counter
enable  ,  // enable for counter
clk     ,  // clock Input
reset      // reset Input
);
//----------Output Ports--------------
    output [7:0] out;
//------------Input Ports--------------
     input enable, clk, reset;
//------------Internal Variables--------
    reg [7:0] out;
//-------------Code Starts Here-------
always @(posedge clk)
if (reset) begin
  out <= 8'b0 ;
end else if (enable) begin
  out <= out + 1;
end

endmodule

 ------Penjelasan------

Diawali dengan komentar yg berisi nama desain, nama file yang telah di tentukan yaitu up_counter, lalu Up counter yang memiliki fungsi sebagai rangkaian counter yang menghitung naik.
lalu masuk blok selanjutnya, pertama penyertaan paket module nya dulu, lalu ada (out , // output of the counter) yang artinya hasil keluaran berasal dari perhitungan counter nya.
selanjutnya ada perintah untuk pemberian akses counter untuk aktif, lalu (clk , // clock input) yang artinya masukan untuk clock, lalu ada perintah untuk mereset masukan nya.
lalu blok ketiga untuk pendeklarasian outputnya, dari nyala led yang jumlah nya 8 di set hasil output akan mulai nyala dari led pertama dengan hitungan awal 0 sampai hitungan led paling akhir yaitu 7,
dan muntuk deklarasi inputnya di berikan keterangan masukan aktif, clk(clock), reset.
dan sampai pada penjelasan akhir yaitu

if (reset) begin
  out <= 8'b0 ;
end else if (enable) begin
  out <= out + 1;
end

yang artinya jika kita mereset nyala lampu ini akan kembali pada awal dimana led 0 atau led posisi pertama, lalu jika kita mengaktifkan nya lagi out+1 yaitu nyala led dari posisi led 0 akan bertambah 1(aktif) terus sampai led 7. dan end yang artinya program berakhir.
Source : http://www.asic-world.com/examples/verilog/simple_counter.html#8-Bit_Simple_Up_Counter 

Mux : Using assign Statement

//-----------------------------------------------------
// Design Name : mux_using_assign
// File Name   : mux_using_assign.v
// Function    : 2:1 Mux using Assign
// Coder       : Deepak Kumar Tala
//-----------------------------------------------------
module  mux_using_assign(
din_0      , // Mux first input
din_1      , // Mux Second input
sel        , // Select input
mux_out      // Mux output
);
//-----------Input Ports---------------
input din_0, din_1, sel ;
//-----------Output Ports---------------
output mux_out;
//------------Internal Variables--------
wire  mux_out;
//-------------Code Start-----------------
assign mux_out = (sel) ? din_1 : din_0;

endmodule //End Of Module mux



 ------Penjelasan------

Mux itu sendiri menurut yang saya baca yaitu multiplexer, yg bisa dikatakan sebuah alat atau komponen elektronika yang bisa memilih input (masukan) yang akan diteruskan ke bagian output (keluaran).
Perintah dimulai dengan nama desain yang telah ditentukan yaitu mux_using_assign_ lalu setelah itu nama file nya pun sama dan setelah nya ada (.v)
setelahnya di selipkan kometar, lanjut masuk ke pendeklarasian blok kedua yaitu  diawali dengan penyertaan paket module nya. Setelah itu masukan di tentukan inputan pertama di set 0 dan inputan kedua di set 1 jadi ada beberapa masukan nantinya yg diberi logika nya 0 / 1, lalu diberikan pemilihan untuk input dengan select input, setelah itu diberikan perintah untuk keluaran (output), dan perintah ditutup.
masuk ke blok ketiga untuk pendeklarasian program nya sampai setelah itu ada perintah module untuk di akhiri.
Source: http://www.asic-world.com/examples/verilog/mux.html 

Kamis, 12 Oktober 2017

Review Jurnal

Jurnal      :    Pengelolaan Perpustakaan Berbasis Teknologi Informasi
Tahun      :    2008
Penulis    :    Ishak
Reviewer :    Jodi Ferdiansyah

Pendahuluan
Pada awal sejarah, manusia bertukar informasi melalui bahasa. Maka bahasa adalah teknologi. Bahasa memungkinkan seseorang memahami informasi yang disampaikan oleh orang lain. Setelah itu teknologi penyampaian informasi berkembang melalui gambar. Dengan gambar jangkauan informasi bisa lebih jauh. Gambar ini bisa dibawa-bawa dan disampaikan kepada orang lain. Selain itu informasi yang ada akan bertahan lebih lama. Beberapa gambar peninggalan jaman purba masih ada sampai sekarang sehingga manusia sekarang dapat memahami informasi yang ingin disampaikan pembuatnya. Ditemukannya alfabet dan angka arabik memudahkan cara penyampaian informasi yang lebih efisien dari cara yang sebelumnya. Suatu gambar yang mewakili suatu peristiwa dibuat dengan kombinasi alfabet, atau dengan penulisan angka, seperti MCMXLIII diganti dengan 1943. Teknologi dengan alfabet ini memudahkan dalam penulisan informasi itu. Kemudian, teknologi percetakan memungkinkan pengiriman informasi lebih cepat lagi. Teknologi elektronik seperti radio, tv, komputer mengakibatkan informasi menjadi lebih cepat tersebar di area yang lebih luas dan lebih lama tersimpan. (Wikipedia).

Perkembangan perpustakaan pada era masyarakat informasi dewasa ini telah dimanfaatkan, Peran perpustakaan telah berkembang menjadi pusat komunitas, artinya masyarakat dapat berkumpul di perpustakaan dalam rangka pengembangan pengetahuan dan budaya melalui berbagai aktifitas keilmuan dan sosial. Prinsipnya perpustakan memiliki tiga kegiatan pokok yaitu, mengumpulkan semua informasi yang berkaitan dengan kebutuhan pengguna (to collect), melestarikan, memelihara dan merawat seluruh koleksi perpustakaan (to preserve), dan menyediakan bahan perpustakaan agar dapat dimanfaatkan dengan baik oleh pengguna (to make available). Artinya perpustakaan dituntut untuk memberikan layanan informasi yang tepat, pada pengguna yang tepat dan waktu yang cepat. 

Tujuan Penelitian
Penggunaan teknologi informasi di perpustakaan bertujuan untuk meningkatkan efisiensi pekerjaan dan kualitas pelayanan pada pengguna.

Metode Penelitian 
  • Teknik Pencarian Buku
Memformulasikan strategi penelusuran. mensyaratkan pengetahuan yang mendasar dan komperhensif yang sumberdaya informasi yang tepat termasuk strukturnya. Skill tentang suatu subjek juga perlu. Kemampuan lain yang dibutuhkan: mampu mendiskusikan ide-ide untuk mencari berbagai masukan, memilih alat penelusuran, mengidentifikasi kata kunci, konsep, tajuk subyek, deksriptor, dan mengindentifikasi kriteria untuk meng-evaluasi sumber informasi.
  • Penerapan TI dengan Buku yang ada
Penerapan TI di perpustakaan bersamaan dengan perkembangan budaya manusia itu sendiri. Perkembangan tersebut dapat dilihat dari tahapan evolusi format dokumen yang menjadi koleksi perpustakaan, antara lain dimulai dari bahan cetak (paper material), microfilm, CDROM/DVD, Komputer, Internet, Wireless, sampai format web. Perkembangan ini menjadikan “Great Technology Great Library”.
  • Teknik Pembangunan Sistem
             - Membangun Software Dari Awal
             - Memodifikasi Software
             - Pembelian Software


Kesimpulan Jurnal
Memudahkan pengguna dan penyedia perpustakaan dalam memanajemen buku yang ada, sesuai dengan kemajuan telnologi sekarang.


Kelebihan Jurnal
- Abstrak jelas, sehingga dengan membaca abstraknya saja pembaca dapat mengetahui hasil dari  penelitian tersebut
- Kesimpulan yang dibuat sudah terperinci dan dipaparkan secara jelas
- Prosedur penelitian disusun dengan teratur, sehingga mudah untuk dipahami

Kekurangan Jurnal
Hanya fokus terhadap SDM yang lulus berdasarkan IT saja.

File jurnal asli : https://goo.gl/tWPvoo

Senin, 10 Juli 2017

Kamis, 15 Juni 2017

Why Need preselling? | Online Business | Internet Marketing



When people want to buy a product and see the shop windows online website you, the stranger it looks like the confusion in making a decision. That exist in their minds just one, to buy or not .This is where your job as a marketer is to convince buyers that its decision was right. You must lead them to what is really going to chose. For that you need a name preselling .This is a powerful weapon preselling the super affiliate marketer in a massive sell on the internet. Why so? Because buyers will only buy the people who have known or believed .It could have been without preselling you can still get the sale, but keep in mind how such fairly ineffective and only temporary.
Massive selling techniques via preselling is also taught in the business club Mr Action Club months to be exact material IV.


















 Translate :
  
Mengapa Perlu Pra-Penjualan? | Bisnis Online | Pemasaran Internet




Bila orang ingin membeli sebuah produk dan melihat-lihat website online shop anda, orang asing sepertinya bingung dalam mengambil keputusan. Dimana dalam pikiran mereka hanya satu, untuk membeli atau tidak. Di sinilah pekerjaan Anda sebagai pemasar adalah meyakinkan pembeli bahwa keputusannya benar. Anda harus menuntun mereka pada apa yang sebenarnya akan mereka pilih. Untuk itu Anda memerlukan nama pre-selling. Ini adalah senjata ampuh yang mendatangkan pemasar afiliasi super dalam penjualan besar-besaran di internet. Kenapa begitu? Karena pembeli hanya akan membeli orang-orang yang sudah dikenal atau dipercaya. Bisa saja tanpa pre-selling Anda masih bisa mendapatkan penjualannya, namun perlu diingat bagaimana hal tersebut cukup efektif dan hanya sementara.
Teknik penjualan besar via pra penjualan juga diajarkan di klub bisnis Mr Action Club bulan tepatnya bahan IV.














Sumber : https://tipbisnisonline.wordpress.com/2012/02/11/why-need-preselling-online-business-internet-marketing/ 
Errors that had occurred that is still often done by the affiliate marketer is their list a program on the internet and get the affiliate link URL which they are promoting everywhere just like that without making presell first. The existence of preselling is in addition to foster the credibility of the perpetrator, in this case the affiliate marketer, it is also equally important to foster the credibility of your products are marketed. If the first product buyers were satisfied with what you recommend, usually they will ask for your recommendations on the next product. This is why preselling is one of the selling technique is durable and long-term.In making preselling you try to put yourself on their side so they will treat you as a friend and not as a seller. In this way it will be easier to grow the name of trust. If trust exists, the sale will be more easily created.












Masalah pernah terjadi yang masih sering dilakukan oleh affiliate marketer adalah daftar mereka sebuah program di internetdan mendapatkan URL link affiliasi yang Mereka mempromosikan kemana-mana seperti itu tanpa membuat pre-sell terlebih dahulu. Adanya preselling selain untuk menumbuhkan kredibilitas pelaku, dalam hal ini affiliate marketer, juga sama pentingnya untuk menumbuhkan kredibilitas produk Anda yang dipasarkan. Pembeli produk pertama merasa puas dengan apa yang Anda rekomendasikan, biasanya mereka akan meminta rekomendasi Anda pada produk berikutnya. Inilah sebabnya mengapa preselling adalah salah satu teknik penjualan yang tahan lama dan tahan lama. Dalam membuat preselling Anda mencoba menempatkan diri Anda di sisi mereka sehingga mereka akan memperlakukan Anda sebagai teman dan bukan sebagai penjual. Dengan cara ini akan lebih mudah menumbuhkan nama kepercayaan. Jika ada kepercayaan, penjualan akan lebih mudah dibuat.

Senin, 23 Januari 2017

Tugas V-Class 3 ( UJT & BJT )

Soal

1.       Jelaskan tentang UJT
2.       Jelaskan tentang BJT


Jawab :

1. Uni Junction Transistor (UJT) atau dalam bahasa Indonesia sering disebut dengan Transistor Sambungan Tunggal adalah Komponen Elektronika Aktif yang terbuat dari bahan semikonduktor, UJT memiliki tiga terminal dan hanya memiliki satu sambungan. Pada umumnya UJT digunakan sebagai Saklar Elektronik dan penghasil Isyarat Pulsa. Seperti namanya, Uni Junction Transistor atau UJT juga digolongkan sebagai salah satu anggota dari keluarga Transistor, namun berbeda dengan Transistor Bipolar pada umumnya, Uni Junction Transistor atau UJT ini tidak memiliki Terminal/Elektroda Kolektor. UJT  yang memiliki Tiga Terminal ini terdiri dari 1 Terminal Emitor (E) dan 2 Terminal Basis (B1 dan B2). Oleh karena itu, Transistor UJT ini sering disebut juga dengan Dioda Berbasis Ganda (Double Base Diode).

Berikut ini adalah Bentuk dan Struktur dasar serta Simbol Uni Junction Transistor (Transistor Sambungan Tunggal).


Cara Kerja Uni Junction Transistor (UJT)
Saat Tegangan diantara Emitor (E) dan Basis 1 (B1) adalah Nol, UJT tidak menghantarkan arus listrik, Semikonduktor batang yang bertipe N akan berfungsi sebagai penghambat (memiliki resistansi yang tinggi). Namun akan ada sedikit arus bocor yang mengalir karena bias terbalik (reverse bias).
Pada saat tegangan di Emitor (E) dan Basis 1 (B1) dinaikan secara bertahap, resistansi diantara Emitor dan Basis 1 akan berkurang dan arus terbalik (reverse current) juga akan berkurang. Ketika Tegangan Emitor dinaikan hingga ke level bias maju, arus listrik di Emitor akan mengalir. Hal ini dikarenakan Hole pada Semikonduktor yang di doping berat bertipe P mulai memasuki daerah semikonduktor tipe N dan bergabung kembali dengan Elektron yang di Batang Semikonduktor bertipe N (yang di doping ringan). Dengan demikian Uni Junction Transistor atau UJT ini kemudian mulai menghantarkan arus listrik dari B2 ke B1.
Pada umumnya Uni Junction Transistor atau UJT ini digunakan pada beberapa aplikasi rangkaian elektronika seperti berikut ini :
  • Osilator Relaksasi (Relaxation Oscillator).
  • Rangkaian Saklar Elektronik.
  • Sensor Magnetik flux.
  • Rangkaian Pembatas Tegangan dan Arus listrik.
  • Osilator Bistabil (Bistable oscillators).
  • Rangkaian Regulator Tegangan dan Arus Listrik.
  • Rangkaian Pengendali Fase (Phase control circuits).


2. BJT (Bipolar Junction Transistor) tersusun atas tiga material semikonduktor terdoping yang dipisahkan oleh dua sambungan pn. Ketiga material semikonduktor tersebut dikenal dalam BJT sebagai emitter, base dan kolektor (Gambar 1). Daerah base merupakan semikonduktor dengan sedikit doping dan sangat tipis bila dibandingkan dengan emitter (doping paling banyak) maupun kolektor (semikonduktor berdoping sedang). Karena strukturnya fisiknya yang seperti itu, terdapat dua jenis BJT. Tipe pertama terdiri dari dua daerah n yang dipisahkan oleh daerah p (npn), dan tipe lainnya terdiri dari dua daerah p yang dipisahkan oleh daerah n (pnp). Sambungan pn yang menghubungkan daerah base dan emitter dikenal sebagai sambungan base-emiter (base-emitter junction), sedangkan sambungan pn yang menghubungkan daerah base dan kolektor dikenal sebagai sambungan base-kolektor (base-collector junction).


Gambar 1. Dua Jenis Bipolar Junction Transistor (BJT)


Gambar 2 menunjukkan simbol skematik untuk bipolar junction transistor tipe npn dan pnp. Istilah bipolar digunakan karena adanya elektron dan hole sebagai muatan pembawa (carriers) didalam struktur transistor.

 
Gambar 2. Simbol BJT tipe npn dan pnp


Prinsip Kerja Transistor

Gambar 3 menunjukkan rangkaian kedua jenis transistor npn dan pnp dalam mode operasi aktif transistor sebagai amplifier. Pada kedua rangkaian, sambungan base-emiter (BE) dibias maju (forward-biased) sedangkan sambungan base-kolektor (BC) dibias mundur (reverse-biased).



 Gambar 3. Forward-Reverse Bias pada BJT


Sumber Refrensi:

www.elektronikabersama.web.id › dasar › elektronika
http://ekopujigundar.blogspot.co.id/2016/01/definisi-transistor-ujt-unijunction.html
https://fadhillahakbar.wordpress.com/2016/01/23/transistor-bjt-dan-transistor-ujt/